CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 密码锁

搜索资源列表

  1. Phoenix3

    0下载:
  2. 数字密码锁的VHDL语言八位二进制,串行输入,有开锁和错误提示(LED) -code lock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:696674
    • 提供者:童超
  1. key931

    0下载:
  2. 这是一个密码锁的vhdl语言实现,其密码为931,连续输入即可开锁-this is a key whose numbei is 931 in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:937
    • 提供者:zz
  1. dzim

    0下载:
  2. 电子密码锁 基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。-password lock FPGA-based design of the elect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:436962
    • 提供者:zhu
  1. yyy

    0下载:
  2. 基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。 通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在一些细节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:151004
    • 提供者:zhu
  1. EDA

    0下载:
  2. 关于万年历、音乐播放器、数字密码锁的VHDL编程-the file is about the clock,music player and the digital locker
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15537033
    • 提供者:何山峰
  1. lock

    0下载:
  2. 基于VHDL的4位电子密码锁,可以进行密码输入,更改,锁定,解锁。密码输入和输出都是串行的。-4 of VHDL-based electronic lock, password input, change, lock and unlock. Password input and output are serial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2475888
    • 提供者:bo
  1. mimasuo

    0下载:
  2. 6位密码锁,密码锁控制器是硬件与软件的结合。根据设计要求,决定以FPGA芯片和VHDL语言设计此电子密码锁-6 locks, the lock controller is a combination of hardware and software. According to design requirements, the decision to the FPGA chip and VHDL design electronic locks
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:2548
    • 提供者:洋洋
  1. mimasuo

    0下载:
  2. EDA课程设计基于VHDL的电子密码锁设计-EDA curriculum design with VHDL-based electronic code lock design
  3. 所属分类:SCM

    • 发布日期:2017-06-09
    • 文件大小:16338667
    • 提供者:燕子
  1. LOCK

    0下载:
  2. vhdl编程实现密码锁功能并进行了仿真,可以实现硬件调试-VHDL programming achieve password lock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:706677
    • 提供者:4354
  1. Cipher-lock.doc

    0下载:
  2. VHDL实现四位电子密码锁,并在12864液晶显示屏上显示-VHDL implementation of the four electronic locks, and 12864 on the LCD screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6337
    • 提供者:刘永
  1. code_lock

    0下载:
  2. 本程序是基于vhdl的4位电子密码锁设计,能够预先设置、修改密码,密码输入错误、超时报警!-This procedure is based on the 4-bit vhdl electronic locks designed to pre-set, change thess paword, the password input error, timeout alarm!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:689974
    • 提供者:张东林
  1. XIN

    0下载:
  2. 一个比较小的电子密码锁的程序的一段 是基于vhdl的状态机-A relatively small electronic lock a section of the program is based on state machine vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1387
    • 提供者:梁涛
  1. PDF2Word

    0下载:
  2. 电子密码锁设计采用VHDL语言设计内容详细-Electronic locks
  3. 所属分类:software engineering

    • 发布日期:2017-11-23
    • 文件大小:69983
    • 提供者:胡聪
  1. mimasuo

    0下载:
  2. FPGA电子密码锁,基于VHDL编程语言,可实现报警等功能,方便实用。-FPGA electronic locks, VHDL-based programming language, can be realized the alarm function, convenient and practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:783889
    • 提供者:卫龙龙
  1. 4_coded_lock

    0下载:
  2. 密码锁,用VHDL设计的密码锁,QUTUES 9.0-Password lock, password lock design using VHDL
  3. 所属分类:software engineering

    • 发布日期:2017-11-12
    • 文件大小:485942
    • 提供者:caoshifeng
  1. lock

    0下载:
  2. 基于vhdl语言的数字密码锁设计,包含代码和顶层图-Contain code based on the the vhdl language of digital code lock design, and top chart
  3. 所属分类:software engineering

    • 发布日期:2017-11-29
    • 文件大小:118435
    • 提供者:张瑞萌
  1. EDA_118

    1下载:
  2. VHDL的课程设计:密码锁.-The VHDL course design: password lock.
  3. 所属分类:Project Design

    • 发布日期:2017-12-05
    • 文件大小:574688
    • 提供者:zxb
  1. huhu

    1下载:
  2. 基于FPGA的密码锁设计,其中分频电路VHDL程序代码-FPGA-based password lock design which divider circuit VHDL code
  3. 所属分类:其他小程序

    • 发布日期:2013-03-27
    • 文件大小:10884
    • 提供者:艾琳娜
  1. lock

    0下载:
  2. 基于VHDL语言实现的可下载到FPGA板子上的数字密码锁代码,包含按键防抖动功能的实现。-Based on the VHDL language can be downloaded to the FPGA board digital password lock code, containing the button shake function to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:1594
    • 提供者:momo
  1. CodedLOCK

    0下载:
  2. 基于FPGA的电子密码锁设计与实现,语言是VHDL语言,有注释-FPGA-based design and implementation of electronic locks, language is VHDL language, annotated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1034093
    • 提供者:曾宪深
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com